论文

2017年论文

  

序号 

论文名称 

作者 

刊物名称 

,,, 

1 

Monolayer WxMo1-xS2Grown by Atmospheric Pressure Chemical Vapor Deposition: Bandgap Engineering and Field Effect Transistors 

Liu, Xinke; Wu, Jing; Yu, Wenjie; Chen, Le; Huang, Zhonghui; Jiang, He; He, Jiazhu; Liu, Qiang; Lu, Youming; Zhu, Deliang; Liu, Wenjun; Cao, Peijiang; Han, Shun; Xiong, Xinbo; Xu, Wangying; Ao, Jin-Ping; Ang, Kah-Wee; He, Zhubing 

Advanced Functional Materials 

2017, 27, 13, - 

2 

Multiband Hot Photoluminescence from Nanocavity-Embedded Silicon Nanowire Arrays with Tunable Wavelength 

Zhiqiang Mu, Haochi Yu, Miao Zhang, Aimin Wu, Gongmin Qi, Paul K. Chu, Zhenghua An, Zengfeng Di, and Xi Wang 

Nano Letters 

2017, 17, 3, 1552-1558 

3 

Germanium-Assisted Direct Growth of Graphene on Arbitrary Dielectric Substrates for Heating Devices 

Wang, Ziwen; Xue, Zhongying; Zhang, Miao; Wang, Yongqiang; Xie, Xiaoming; Chu, Paul K.; Zhou, Peng; Di, Zengfeng; Wang, Xi 

Small 

2017, 13, 28, - 

4 

Lateral force modulation by moire superlattice structure: Surfing on periodically undulated graphene sheets 

Liu, Jun; Zhang, Shuai; Li, Qunyang; Feng, Xi-Qiao; Di, Zengfeng; Ye, Chang; Dong, Yalin 

Carbon 

2017, 125, /, 76-83 

5 

Enhanced fluorescence of functionalized silica microsphere based on whispering gallery mode for nitrate ester explosives and hexogen vapour detection 

Xiangtao Zhang, Defeng Zhu, Yanyan Fu, Qingguo He, Huimin Cao, Wei Li and Jiangong Cheng 

Journal of Materials Chemistry C 

2017, /, 2114- 

6 

3D local manipulation of the metal-insulator transition behavior in VO2 thin film by defect-induced lattice engineering 

Qi Jia, J?rg Grenzer, Huabing He, Wolfgang Anwand, Yanda Ji, Ye Yuan, Kai Huang, Tiangui You, Wenjie Yu, Wei Ren, Xinzhong Chen, Mengkun Liu, Stefan Facsko, Xi Wang and Xin Ou 

Advanced Materials interfaces 

2017, 5, 8, - 

7 

Forging Fast Ion Conducting Nanochannels with Swift Heavy Ions: The Correlated Role of Local Electronic and Atomic Structure 

Sachan, Ritesh, Cooper, Valentino R., Liu, Bin, Aidhy, Dilpuneet S., Voas, Brian K., Lang, Maik, Ou, Xin, Trautmann, Christina, Zhang, Yanwen, Chisholm, Matthew F., Weber, William J. 

Journal of Physical Chemistry C 

2017, 121, 1, 975-981 

8 

Band alignment between PEALD-AlNO and AlGaN/GaN determined by angle-resolved X-ray photoelectron spectroscopy 

Qian Wang, Xinhong Cheng, Li Zheng, Peiyi Ye, Menglu Li, Lingyan Shen, Jingjie Li, Dongliang Zhang, Ziyue Gu, Yuehui Yu 

Applied Surface Science 

2017, 423, /, 675-679 

9 

Enhanced interfacial and electrical characteristics of 4H-SiC MOS capacitor with lanthanum silicate passivation interlayer 

Wang, Qian; Cheng, Xinhong; Zheng, Li; Ye, Peiyi; Li, Menglu; Shen, Lingyan; Li, Jingjie; Zhang, Dongliang; Gu, Ziyue; Yu, Yuehui 

Applied Surface Science 

2017, 416, /, 326-331 

10 

Interfacial chemistry and energy band alignment of TiAlO on 4H-SiC determined by X-ray photoelectron spectroscopy 

Wang, Qian; Cheng, Xinhong; Zheng, Li; Ye, Peiyi; Li, Menglu; Shen, Lingyan; Li, Jingjie; Zhang, Dongliang; Gu, Ziyue; Yu, Yuehui 

Applied Surface Science 

2017, 409, /, 71-76 

11 

Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates 

Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi 

Scientific Reports 

2017, 7, 1, - 

12 

Ti mediated highly oriented growth of uniform and smooth Ni(Si0.8Ge0.2) layer for advanced contact metallization 

Ping, Yunxia; Hou, Chunlei; Zhang, Chaomin; Yu, Wenjie; Xue, Zhongying; Wei, Xing; Peng, Wei; Di, Zengfeng; Zhang, Miao; Zhang, Bo 

Journal of Alloys and Compounds 

2017, 693, /, 527-533 

13 

Band alignment of atomic layer deposited TiO2/multilayer MoS2 interface determined by x-ray photoelectron spectroscopy 

Liu, Xinke; Chen, Le; Liu, Qiang; He, Jiazhu; Li, Kuilong; Yu, Wenjie; Ao, Jin-Ping; Ang, Kah-Wee 

Journal of Alloys and Compounds 

2017, 698, /, 141-146 

14 

Controllable cracking behavior in Si/Si0.70Ge0.30/Si heterostructure by tuning the H+ implantation energy 

Chen, Da; Zhang, Nan; Wang, Bei; Xu, Anli; Li, Ya; Yang, Siwei; Wang, Gang; Guo, Qinglei 

Applied Physics Letters 

2017, 111, 6, - 

15 

Exceptional transport property in a rolled-up germanium tube 

Guo, Qinglei; Wang, Gang; Chen, Da; Li, Gongjin; Huang, Gaoshan; Zhang, Miao; Wang, Xi; Mei, Yongfeng; Di, Zengfeng 

Applied Physics Letters 

2017, 110, 11, - 

16 

Performance Improvement and Current Collapse Suppression of Al2O3/AlGaN/GaN HEMTs Achieved by Fluorinated Graphene Passivation 

Lingyan Shen, Dongliang Zhang, Xinhong Cheng, Li Zheng, Dawei Xu, Qian Wang, Jingjie Li, Duo Cao, and Yuehui Yu 

IEEE Electron Device Letters 

2017, 38, 5, 596-599 

17 

Experimental Investigation of C-V Characteristics of Si Tunnel FETs 

Liu, Chang; Glass, Stefan; Gia Vinh Luong, Narimani, Keyvan; Han, Qinghua; Tiedemann, Andreas T., Fox, Alfred; Yu, Wenjie; Wang, Xi; Mantl, Siegfried; Zhao, Qing-Tai 

IEEE Electron Device Letters 

2017, 38, 6, 818-821 

18 

Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3 

Wang, Qian; Cheng, Xinhong; Zheng, Li; Shen, Lingyan; Li, Jingjie; Zhang, Dongliang; Qian, Ru; Yu, Yuehui 

RSC Advances 

2017, 7, 19, 11745-11751 

19 

Investigation of Copper-Carbon Nanotube Composites as Global VLSI Interconnects 

Cheng, Zi-Han; Zhao, Wen-Sheng; Dong, Linxi; Wang, Jing; Zhao, Peng; Gao, Haijun; Wang, Gaofeng 

IEEE Transactions on Nano Technology 

2017, 16, 6, 891-900 

20 

Wrinkled Single-Crystalline Germanium Nanomembranes for Stretchable Photodetectors 

Guo, Qinglei; Fang, Yangfu; Zhang, Miao; Huang, Gaoshan; Chu, Paul K.; Mei, Yongfeng; Di, Zengfeng; Wang, Xi 

IEEE Transactions on Electron Devices 

2017, 64, 5, 1985-1990 

21 

Physical Models of Planar Spiral Inductor Integrated on the High-Resistivity and Trap-Rich Silicon-on-Insulator Substrates 

Liu, Shuangke; Zhu, Lei; Allibert, Frederic; Radu, Ionut; Zhu, Xinen; Lu, Yumin 

IEEE Transactions on Electron Devices 

2017, 64, 7, 2775-2781 

22 

Morphology improvement of SiC trench by inductively coupled plasma etching using Ni/Al2O3bilayer mask 

Li, Jingjie; Cheng, Xinhong; Wang, Qian; Zheng, Li; Shen, Lingyan; Li, Xinchang; Zhang, Dongliang;, Zhu, Hongyue; Shen, DaShen; Yu, Yuehui 

Materials Science in Semiconductor Processing 

2017, 67, /, 104-109 

23 

A 280-KBytes Twin-Bit-Cell Embedded NOR Flash Memory with a Novel Sensing Current Protection Enhanced Technique and High-Voltage Generating Systems 

Xu, Yiran; Zhu, Wenyi; Xiao, Jun; Yang, Guangjun; Hu, Jian; Zhang, Shengbo; Huang, Mingyong; Kong, Weiran; Zou, Shichang 

IEEE Transactions on Circuit and Systems II-Express Briefs 

2017, 65, 11, 1569-1573 

24 

Multi-level switching in TiOxFy film with nanoparticles 

Sun, Xiangyu; Wu, Chuangui; Shuai, Yao; Pan, Xinqiang; Luo, Wenbo; You, Tiangui; Du, Nan; Schmidt, Heidemarie 

Journal of Physics D-Applied Physics 

2017, 50, 38, - 

25 

Investigation and process optimization of SONOS cell's drain disturb in 2-transistor structure flash arrays 

Xu, Zhaozhao; Qian, Wensheng; Chen, Hualun; Xiong, Wei; Hu, Jun; Liu, Donghua; Duan, Wenting; Kong, Weiran; Na, Wei; Zou, Shichang 

Solid-State Electronics 

2017, 129, /, 44-51 

26 

Steep subthreshold slope characteristics of body tied to gate NMOSFET in partially depleted SOI 

Song, Lei; Hu, Zhiyuan; Liu, Zhangli; Xin, Haiwei; Zhang, Zhengxuan; Zou, Shichang 

Solid-State Electronics 

2017, 130, /, 15-19 

27 

Modeling and Characterization of Coaxial Through-Silicon Via With Electrically Floating Inner Silicon 

Zhao, Wen-Sheng; Zheng, Jie; Wang, Jing; Liang, Feng; Wen, Fei; Dong, Linxi; Wang, Dingwen; Wang, Gaofeng 

IEEE Transactions on Components Packing and Manufacturing Technology 

2017, 7, 6, 936-943 

28 

Defects induced by MeV H+ implantation for exfoliating of free-standing GaN film 

Kai Huang, Tiangui You, Qi Jia, Shibin Zhang, Runchun Zhang, Jiajie Lin, Min Zhou, Wenjie Yu, Bo Zhang, Xin Ou, and Xi Wang 

 Applied Physics A 

2017, 124, 2, - 

29 

New insights on ion track morphology in pyrochlores by aberration corrected scanning transmission electron microscopy 

Sachan Ritesh, Zhang Yanwen, Ou Xin, Trautmann ChristinaChisholm Matthew F, Weber William J 

 Journal of Materials Research 

2017, 32, 5, 928-935 

30 

1.2 kV GaN Schottky barrier diodes on free-standing GaN wafer using a CMOS-compatible contact material 

Liu, Xinke; Liu, Qiang; Li, Chao; Wang, Jianfeng; Yu, Wenjie; Xu, Ke; Ao, Jin-Ping 

Japanese Journal of Applied Physics 

2017, 56, 2, - 

31 

A 0.6-V sub-mW X-band RFSOI CMOS LNA with novel complementary current-reused technique 

Dai, Ruofan 

International Journal of Circuit Theory and Applications 

2017, 45, 12, 2046-2056 

32 

A duplex current-reused CMOS LNA with complementary derivative superposition technique 

Dai, Ruofan; Zheng, Yunlong; He, Jun; Kong, Weiran; Zou, Shichang 

International Journal of Circuit Theory and Applications 

2017, 45, 1, 110-119 

33 

Analysis of Single-Event Effects in a Radiation-Hardened Low-Jitter PLL Under Heavy Ion and Pulsed Laser Irradiation 

Chen, Zhuojun; Lin, Min; Ding, Ding; Zheng, Yunlong; Sang, Zehua; Zou, Shichang 

IEEE Transactions on Nuclear Science 

2017, 64, 1, 106-112 

34 

Improved Single-Event Transient Hardness in Tunnel-Diode Body-Contact SOI nMOS 

Xu, Lingda; Luo, Jiexin; Chen, Jing; Chai, Zhan; He, Weiwei; Zhang, En Xia; Fleetwood, Daniel M. 

IEEE Transactions on Nuclear Science 

2017, 64, 10, 2669-2672 

35 

Enhanced Radiation Hardness in SOI MOSFET With Embedded Tunnel Diode Layer 

Huang, Huixiang; Wei, Sufen; Tang, Kai; Pan, Jinyan; Xu, Wenbin; Wei, Yafen; Wu, Yiliang; Chen, Jinhai; Mei, Qiang; Zhang, Zhengxuan; Geng, Li 

IEEE Transactions on Nuclear Science 

2017, 64, 8, 2369-2376 

36 

Single Event Upset Sensitivity of D-Flip Flop: Comparison of PDSOI With Bulk Si at 130 nm Technology Node 

Zhang, Leqing; Xu, Jialing; Fan, Shuang; Dai, Lihua; Bi, Dawei; Lu, Jian; Hu, Zhiyuan; Zhang, Mengying; Zhang, Zhengxuan 

IEEE Transactions on Nuclear Science 

2017, 64, 1, 683-688 

37 

Defect formation in MeV H+ implanted GaN and 4H-SiC investigated by cross-sectional Raman spectroscopy 

Huang, Kai; Jia, Abqi; You, Tiangui; Zhang, Shibin; Lin, Jiajie; Zhang, Runchun; Zhou, Min; Yu, Wenjie; Zhang, Bo; Ou, Xin; Wang, Xi 

Nuclear Instruments and Methods in Physics Research Section B Beam Interactions with Materials and Atoms 

2017, 406, /, 656-661 

38 

A macro SPICE model for 2-bits/cell split-gate flash memory cell 

Liu, Xiaonian; Xu, Yiran; Fan, Xiangquan; Liao, Mengxing; Li, Pingliang; Zou, Shichang 

Microelectronics Journal 

2017, 63, /, 75-80 

39 

Bifurcated overtones of one-way localized Fabry-Perot resonances in parity-time symmetric optical lattices 

Gaafer, Fatma Nafaa; Shen, Yaxi; Peng, Yugui; Wu, Aimin; Zhang, Peng; Zhu, Xuefeng 

Chinese Physics B 

2017, 26, 7, 74218- 

40 

Impact of Al addition on the formation of Ni germanosilicide layers under different temperature annealing 

Meng, Xiao-Ran; Ping, Yun-Xia; Yu, Wen-Jie; Xue, Zhong-Ying; Wei, Xing; Zhang, Miao; Di, Zeng-Feng; Zhang, Bo; Zhao, Qing-Tai 

Chinese Physics B 

2017, 26, 9, - 

41 

Total ionizing dose induced single transistor latchup in 130-nm PDSOI input/output NMOSFETs 

Fan, Shuang; Hu, Zhi-Yuan; Zhang, Zheng-Xuan; Ning, Bing-Xu; Bi, Da-Wei; Dai, Li-Hua; Zhang, Meng-Ying; Zhang, Le-Qing 

Chinese Physics B 

2017, 26, 3, - 

42 

Investigation of low-fluence hydrogen implantation-induced cracking in B doped Si0.70Ge0.30 

Chen, Da; Guo, Qinglei; Zhang, Nan; Wang, Bei; Xu, Anli; Li, Ya; Yang, Siwei; Wang, Gang 

Journal of Vacuum Science & Technology B 

2017, 35, 4, - 

43 

Fabrication of radiation hardened SOI with embedded Si nanocrystal by ion-cut technique 

Chang, Yongwei; Cheng, Shi; Dai, Lihua; Chen, Da; Xue, Zhongying; Dong, Yemin; Wei, Xing; Wang, Xi 

Journal of Vacuum Science & Technology B 

2017, 35, 2, - 

44 

Degradation induced by TID radiation and hot-carrier stress in 130-nm short channel PDSOI NMOSFETs 

Dai, Lihua; Liu, Xiaonian; Zhang, Mengying; Zhang, Leqing; Hu, Zhiyuan; Bi, Dawei; Zhang, Zhengxuan; Zou, Shichang 

Microelectronics Reliability 

2017, 74, /, 74-80 

45 

Influences of silicon-rich shallow trench isolation on total ionizing dose hardening and gate oxide integrity in a 130 nm partially depleted SOI CMOS technology 

Song, Lei; Hu, Zhiyuan; Zhang, Mengying; Liu, Xiaonian; Dai, Lihua; Zhang, Zhengxuan; Zou, Shichang 

Microelectronics Reliability 

2017, 74, /, 1-8 

46 

Radiation induced transconductance overshoot in the 130 nm partially-depleted SOI MOSFETs 

Peng, Chao; En, Yunfei; Zhang, Zhengxuan; Liu, Yuan; Lei, Zhifeng 

Microelectronics Reliability 

2017, 75, /, 135-141 

47 

Radio-Frequency Characteristics of Partial Dielectric Removal HR-SOI and TR-SOI Substrates 

Cheng, Shi; Chang, Yong-Wei; Gao, Nan; Dong, Ye-Min; Fei, Lu; Wei, Xing; Wang, Xi 

Chinese Physics Letters 

2017, 34, 6, - 

48 

Experimental I-V and C-V Analysis of Schottky-Barrier Metal-Oxide-Semiconductor Field Effect Transistors with Epitaxial NiSi2 Contacts and Dopant Segregation 

Wang, Yi-Ze; Liu, Chang; Cai, Jian-Hui; Liu, Qiang; Liu, Xin-Ke; Yu, Wen-Jie; Zhao, Qing-Tai 

Chinese Physics Letters 

2017, 34, 7, - 

49 

Total Ionizing Dose Response of Different Length Devices in 0.13 μm Partially Depleted Silicon-on-Insulator Technology 

Zhang, Meng-Ying; Hu, Zhi-Yuan; Zhang, Zheng-Xuan; Fan, Shuang; Dai, Li-Hua; Liu, Xiao-Nian; Song, Lei 

Chinese Physics Letters 

2017, 34, 8, 088501-1-088501–4 

50 

Total-Ionizing-Dose-Induced Body Current Lowering in the 130 nm PDSOI I/O NMOSFETs 

Liu, Xiao-Nian; Dai, Li-Hua; Ning, Bing-Xu; Zou, Shi-Chang 

Chinese Physics Letters 

2017, 34, 1, 016103-1-016103-4 

51 

Influence of Tilted Angle on Effective Linear Energy Transfer in Single Event Effect Tests for Integrated Circuits at 130 nm Technology Node 

Le-Qing Zhang, · Jian Lu, · Jia-Ling Xu, · Xiao-Nian Liu, · Zheng-Xuan Zhang 

Chinese Physics Letters 

2017, 34, 11, 118504-1-118504-4 

52 

A high voltage multiplexer with rail to rail output swing for battery management system applications 

Li, Xinchang; Xu, Dawei; Zhu, Hongyue; Chen, Zhuojun; Yang, Zhiqiang; Cheng, Xinhong; Yu, Yuehui; Ng, Wai Tung 

IEICE Electronics Express 

2017, 14, 1, - 

53 

A high voltage multiplexer with rail to rail output swing for battery management system applications 

Xinchang Li, Dawei Xu, Hongyue Zhu, Zhuojun Chen, Zhiqiang Yang, Xinhong Cheng, Yuehui Yu, and Wai Tung Ng 

IEICE Electronics Express 

2017, 14, 1, 1-8 

54 

An improved noise immune level-shifter via IGBT gateemitter voltage detection 

Hongyue Zhu, Dawei Xu, Xinchang Li, Chao Xu, Dengpeng Wu, Xinhong Cheng, and XiaoYun Li 

IEICE Electronics Express 

2017, 15, 9, 1-7 

55 

Area-efficient charge pump with local boost technique for embedded flash memory 

Xu, Yiran, Zhu, Wenyi, Xiao, Jun, Yang, Guangjun, Hu, Jian, Li, Xiaoyun, Kong, Weiran, Zou, Shichang 

IEICE Electronics Express 

2017, 14, 21, - 

56 

基于GaNHEMT同步整流Buck变换器研究 

羊志强,徐大伟,李新昌,程新红 

电力电子技术 

2017, 51, 9, 20-23 

57 

多种典型土壤结构下的电磁波透地回波信号分析 

罗雪,何涛,吴才先,芮径,文立军,李伟,蔡正杰 

功能材料与器件学报 

2017, 23, /, 110-110 

58 

基于电磁波逆散射成像技术的地下目标探测研究 

罗雪,何涛,王家杰,李欣洋,袁禾,李伟,蔡正杰 

功能材料与器件学报 

2017, 23, /, 114-114 

59 

基于非相干OFDR的分布式传感电力电缆温度在线监测和载流量计算 

徐朝,严加义,毛天奇,曾宪武,李桂顺,李伟 

功能材料与器件学报 

2017, 23, 5, 119-124 

60 

0. 13 μm SOI标准单元库抗总剂量辐射的测试验证 

卢仕龙,刘汝萍,林敏,俞跃辉,董业民 

Semiconductor Technology半导体技术 

2017, 42, 6, 469-474 

61 

基于0. 13μm CMOSWiFi功率放大器设计 

齐文正,林敏,杨根庆,董业民,黄水根 

Semiconductor Technology半导体技术 

2017, 42, 3, 178-183 

62 

SOI材料和器件抗辐射加固技术 

张正选,邹世昌 

《科学通报》 

2017, 62, 10, 1004-1017